Skip to content

Issues: openhwgroup/cv32e40s

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

WFE/WFI in wb + pending irq can cause interrupt to be missed Component:Other Non-RTL, non-documentation (e.g. bhv, sva) Status:Resolved Issue has been resolved, but closure is pending on git merge and/or issuer confirmation Type:Bug For bugs in any content (RTL, Documentation, etc.)
#403 opened Feb 6, 2023 by silabs-hfegran
ProTip! Add no:assignee to see everything that’s not assigned.